Tongasoa eto amin'ny tranokalanay!

YY–UTM-01A Masinina Fitsapana Maneran-tany

Famaritana fohy:

Ity milina ity dia ampiasaina amin'ny metaly sy tsy metaly (anisan'izany ny fitaovana mitambatra) tensile, famatrarana, miondrika, manety, peeling, mandrovitra, entana, fialam-boly, reciprocating sy ny zavatra hafa ny static fampisehoana fitiliana fanadihadiana fanadihadiana, dia afaka tonga dia mahazo REH, Rel, RP0 .2, FM, RT0.5, RT0.6, RT0.65, RT0.7, RM, E ary masontsivana fitsapana hafa.Ary araka ny GB, ISO, DIN, ASTM, JIS sy ny hafa ao an-toerana sy iraisam-pirenena fenitra ho an'ny fitiliana sy manome data.


Product Detail

Tags vokatra

Applications

Ity milina ity dia ampiasaina amin'ny metaly sy tsy metaly (anisan'izany ny fitaovana mitambatra) tensile, famatrarana, miondrika, manety, peeling, mandrovitra, entana, fialam-boly, reciprocating sy ny zavatra hafa ny static fampisehoana fitiliana fanadihadiana fanadihadiana, dia afaka tonga dia mahazo REH, Rel, RP0 .2, FM, RT0.5, RT0.6, RT0.65, RT0.7, RM, E ary masontsivana fitsapana hafa.Ary araka ny GB, ISO, DIN, ASTM, JIS sy ny hafa ao an-toerana sy iraisam-pirenena fenitra ho an'ny fitiliana sy manome data.

Paramètre ara-teknika

(1) masontsivana fandrefesana
1. Ny hery fitsapana ambony indrindra: 10kN, 30kN, 50kN, 100kN
(azo ampiana sensor fanampiny hanitarana ny elanelana fandrefesana hery)
2. Ambaratonga marina: ambaratonga 0.5
3. Ny fitsapana hery fandrefesana isan-karazany: 0.4% ~ 100% FS (feno ambaratonga)
4. Ny herin'ny fitsapana dia manondro ny hadisoana sanda: manondro ny sanda ao anatin'ny ± 0.5%
5. Ny famahana ny hery fitsapana: ny hery fitsapana ambony indrindra amin'ny ± 1/300000

Ny dingana manontolo dia tsy voasokajy, ary ny fanapahan-kevitra rehetra dia tsy miova.

6. Deformation fandrefesana isan-karazany: 0.2% ~ 100% FS
7. Deformation soatoavina fahadisoana: asehoy ny sanda ao anatin'ny ± 0.5%
8.Deformation fanapahan-kevitra: 1/200000 ny ambony indrindra deformation
Hatramin'ny 1 amin'ny 300.000
9. Fahadisoana fifindran-toerana: ao anatin'ny ± 0,5% amin'ny sanda aseho
10. Famahana ny fifindran'ny: 0.025μm

(2) Masontsivana fanaraha-maso
1. Ny isan-karazany fanitsiana ny tahan'ny fanaraha-maso hery: 0,005 ~ 5% FS / S

2.Force fanaraha-maso ny tahan'ny fanaraha-maso mazava tsara:
Ny tahan'ny <0.05%FS/s, ao anatin'ny ±2% amin'ny sanda napetraka,
Ny tahan'ny ≥0.05% FS/ S, ao anatin'ny ± 0.5% amin'ny sanda napetraka;
3. Deformation tahan'ny fanitsiana isan-karazany: 0,005 ~ 5% FS / S
4. Deformation tahan'ny fanaraha-maso mazava tsara:
Ny tahan'ny <0.05%FS/s, ao anatin'ny ±2% amin'ny sanda napetraka,
Ny tahan'ny ≥0.05% FS/ S, ao anatin'ny ± 0.5% amin'ny sanda napetraka;

5. Ny tahan'ny fifindran'ny fanitsiana isan-karazany: 0.001 ~ 500mm/min
6. Fanaraha-maso ny tahan'ny fifindrana:
Rehefa latsaky ny 0.5mm/min ny hafainganam-pandeha, ao anatin'ny ± 1% amin'ny sanda napetraka,
Rehefa ≥0.5mm/min ny hafainganam-pandeha, ao anatin'ny ± 0.2% amin'ny sanda napetraka.

(3) Paramètre hafa
1. Sakan'ny fitsapana mahomby: 440mm

2. Mahomby mihinjitra kapoka: 610mm (anisan'izany wedge mihinjitra fixture, azo namboarina araka ny fangatahan'ny mpampiasa)
3. Beam hetsika kapoka: 970mm
4. Ny refy lehibe (lava × sakany × haavony) (820 × 620 × 1880) mm
5. Ny lanjan'ny mpampiantrano: manodidina ny 350Kg
6. Famatsiana herinaratra: 220V, 50HZ, 1KW

Performance Features

(1) Firafitry ny fizotran'ny mekanika:
Ny tena fototra dia tena ahitana ny fototra, roa raikitra andry, finday andry, efatra tsanganana sy roa visy gantry rafitra rafitra;Ny rafi-pitaterana sy ny fampitaovana dia mampiasa motera servo AC sy fitaovana fampihenana ny fitaovam-pandrefesana, izay manosika ny visy baolina avo lenta mba hihodina, ary avy eo dia mitondra ny taratra mihetsika mba hahatsapa ny entana.Ny milina dia manana endrika tsara tarehy, fahamarinan-toerana tsara, henjana avo, avo fanaraha-maso mazava tsara, avo miasa fahombiazana, ambany tabataba, angovo famonjena sy ny fiarovana ny tontolo iainana.

Rafitra fanaraha-maso sy fandrefesana

asdsadasds 

Ity milina ity dia mampiasa rafitra fanaraha-maso DSC-10 feno nomerika feno ho an'ny fanaraha-maso sy fandrefesana, amin'ny fampiasana solosaina mba hitsapana ny fizotran'ny fizotry ny fizahana sy ny fisehon'ny curve dynamic, ary ny fanodinana data.Aorian'ny fiafaran'ny fitsapana, ny curve dia azo ampitomboina amin'ny alàlan'ny maodely fanodinana sary ho an'ny famakafakana sy fanitsiana ny angona, nahatratra ny haavon'ny fandrosoana iraisam-pirenena.

1.Realize manokana fifindran-toerana, deformation, hafainganam-pandeha mihidy-loop fanaraha-maso.Nandritra ny fitsapana, ny hafainganam-pandehan'ny fitsapana sy ny fomba fitsapana dia azo ovaina amin'ny fomba malefaka mba hahatonga ny rafitra fitsapana ho mora kokoa sy manan-danja kokoa;
2. Multi-layer fiarovana: miaraka amin'ny rindrambaiko sy ny fitaovana fiarovana ambaratonga roa miasa, dia afaka hahatratra ny milina fitsapana be loatra, overcurrent, overvoltage, undervoltage, hafainganam-pandeha, fetra sy ny fiarovana fomba fiarovana hafa;
3. Fantsona fiovam-po 24-bit A/D avo lenta, famahana fehezan-dalàna mahomby hatramin'ny ± 1/300000, mba hahatratrarana ny tsy fanasokajiana anatiny sy ivelany, ary tsy miova ny fanapahan-kevitra manontolo;

4. USB na serial fifandraisana, angon-drakitra fifindran'ny dia azo antoka sy azo antoka, matanjaka manohitra ny fitsabahana fahaiza-manao;
5. Mampiasa fantsom-pahitalavitra 3 pulse (famantarana 3 pulse dia famantarana fifindran'ny 1 ary famantarana 2 lehibe deformation tsirairay avy), ary mampiasa ny teknolojia avo lenta avo efatra heny mba hampitombo ny isan'ny pulses mahomby amin'ny inefatra, manatsara ny famahana ny famantarana. , ary ny fatran'ny fisamborana avo indrindra dia 5MHz;
6. Fomba iray servo maotera fiara nomerika famantarana, ny avo indrindra matetika ny PWM Output dia 5MHz, ny ambany indrindra dia 0.01Hz.

Tombontsoa ara-teknika amin'ny rafitra fanaraha-maso sy fandrefesana

1. DSC-10 rehetra-niomerika mihidy-loop rafitra fanaraha-maso
DSC-10 feno rafitra fanaraha-maso loop nikatona dia taranaka vaovao ny milina fitiliana rafitra fanaraha-maso matihanina novolavolain'ny orinasa.Izy io dia mampiasa ny chip fanaraha-maso matihanina matihanina indrindra amin'ny motera servo sy ny angon-drakitra angon-drakitra sy ny fanodinana Module, izay miantoka ny tsy fitoviana amin'ny santionan'ny rafitra sy ny asa fanaraha-maso haingana sy mahomby, ary miantoka ny fandrosoan'ny rafitra.Ny famolavolana rafitra dia manandrana mampiasa ny maody fitaovana mba hiantohana ny fahamarinan-toerana sy ny fahamendrehan'ny vokatra.

2. Sehatra fanaraha-maso mahomby sy matihanina
Ny DSC dia natokana ho an'ny IC fanaraha-maso mandeha ho azy, ny anatiny dia fitambaran'ny DSP + MCU.Izy io dia mampiditra ny tombony amin'ny hafainganam-pandehan'ny DSP haingana sy ny fahaizan'ny MCU matanjaka mifehy ny seranan-tsambo I/O, ary mazava ho azy fa tsara kokoa noho ny an'ny DSP na 32-bit MCU ny zava-bitany amin'ny ankapobeny.Ny fampidirana anatiny ny fanaraha-maso maotera fitaovana ilaina Modules, toy ny: PWM, QEI, sns.

3. Fomba famakafakana parallèle mifototra amin'ny fitaovana
Ny teboka iray hafa mamirapiratra amin'ity rafitra ity dia ny fampiasana chip ASIC manokana.Amin'ny alalan'ny ASIC chip, ny mari-pamantarana isaky ny sensor ny milina fitsapana dia azo angonina synchronously, izay mahatonga antsika ho voalohany any Shina mba hahatsapa ny tena hardware-monina parallel sampling fomba, ary misoroka ny olana ny entana sy ny deformation asynchronization vokatry ny santionany fizarana fotoana isaky ny fantsona sensor taloha.

4. Hardware sivana asa ny toerana pulse famantarana
Ny maodelin'ny fahazoana toerana ny photoelectric encoder dia mampiasa maody fitaovana manokana, naorina-in 24-level sivana, izay manatanteraka ny sivana plastika amin'ny mari-pamantarana pulse azo, misoroka ny isa diso vokatry ny fisian'ny fitsabahana pulse ao amin'ny rafitra fahazoana pulse, ary amin'ny fomba mahomby kokoa miantoka ny fahamarinan'ny toerana, mba hahafahan'ny rafitra fanangonam-bokatra toerana mety hiasa tsara sy azo antoka.

5. Cmanara-maso ny fampiharana ny asa fototra
Natokana ho an'ny ASIC chip mizara ny santionany asa, ny fanaraha-maso ny toe-javatra sy ny andiana periferika, sy ny fifandraisana sy ny asa mifandraika amin'izany avy ao anatiny hardware Module mba hahatsapa, ka ny DSC dia afaka mifantoka amin'ny fanaraha-maso bebe kokoa PID kajy asa toy ny tena vatana, fa tsy ny azo itokisana kokoa, ary ny hafainganam-pandehan'ny valin'ny fanaraha-maso, izay mahatonga ny rafitra amin'ny alàlan'ny hetsika fanaraha-maso ambany dia mameno ny fanitsiana PID sy ny famoahana ny fanaraha-maso, Ny fanaraha-maso ny loop mihidy dia tanteraka amin'ny faran'ny rafitra.

Toetra mampiavaka ny rindrambaiko

Ny interface interface dia manohana ny rafitra Windows, ny fampisehoana sy ny fanodinana curve amin'ny fotoana tena izy, ny sary, ny rafitra rindrambaiko modular, ny fitahirizana angon-drakitra ary ny fanodinana mifototra amin'ny angon-drakitra MS-ACCESS, mora mifandray amin'ny rindrambaiko OFFICE.

1. Fomba fitantanana ambaratongan'ny zon'ny mpampiasa:
Aorian'ny fidiran'ny mpampiasa, ny rafitra dia manokatra ny maodely fampandehanana mifanaraka amin'izany araka ny fahefany.Super Administrator manana ny fahefana ambony indrindra, dia afaka manatanteraka ny mpampiasa fahefana fitantanana, ho an'ny mpandraharaha isan-karazany manome alalana Modules fandidiana samy hafa.

2. Hamin'ny maha-fitantanam-pitantanana fitsapana mahery vaika, ny vondrona fitsapana dia azo apetraka araka ny filan'ny tsirairay.
Araka ny fenitra samihafa dia azo ovaina araka ny tetika fitsapana mifanaraka amin'izany, raha toa ka voafantina mandritra ny fitsapana ny teti-panadinana mifanaraka amin'izany, dia azonao atao ny mamita ny fitsapana araka ny fepetra takiana, ary mamoaka ny tatitra fitsapana mifanaraka amin'ny fepetra takiana.Ny fizotry ny fitsapana sy ny toeran'ny fitaovana fampisehoana amin'ny fotoana tena izy, toy ny: sata mandeha amin'ny fitaovana, ny dingana fanaraha-maso ny programa, raha vita ny switch extensometer, sns.

3. Famakafakana curve mahery vaika
Ny fiolahana maro toy ny fiovaovan'ny enta-mavesatra sy ny fotoana enta-mavesatra dia azo voafantina hanehoana curve iray na maromaro amin'ny fotoana tena izy.Ny santionany ao amin'ny vondrona mitovy curve superposition dia afaka mampiasa loko isan-karazany mifanohitra, mamakivaky curve sy ny fitsapana curve dia mety ho kisendrasendra eo an-toerana amplification fanadihadiana, ary manohana ny aseho eo amin'ny fitsapana curve sy ny fametahana ny endri-javatra tsirairay teboka, dia mety ho mandeha ho azy na tanana amin'ny curve maka ny famakafakana fampitahana, manamarika ireo teboka misy ny curve dia afaka manonta ao amin'ny tatitra fitsapana.

4. Fitehirizana mandeha ho azy ny angona fitsapana mba hisorohana ny fahaverezan'ny angona andrana vokatry ny loza.
Izy io dia manana ny asan'ny fangatahan'ny angon-drakitra fitsapana, izay afaka mikaroka haingana ny angon-drakitra sy ny valin'ny fitsapana mifanaraka amin'ny fepetra samihafa, mba hahatsapana ny fiverenan'ny valin'ny fitsapana.Izy io koa dia afaka manokatra ny angon-drakitra amin'ny tetika fitsapana mitovy amin'ny fotoana samihafa na andiany ho an'ny fanadihadiana fampitahana.Data backup function dia azo tehirizina teo aloha ihany koa ny angon-drakitra voatahiry sy jerena.

5. Ny endrika fitahirizana angon-drakitra MS-Access sy ny fahaiza-manao fanitarana rindrambaiko
Ny fototry ny rindrambaiko DSC-10LG dia mifototra amin'ny angon-drakitra MS-Access, izay afaka mifandray amin'ny rindrambaiko Office ary mitahiry ny tatitra amin'ny endrika Word na endrika Excel.Ankoatra izany, azo sokafana ny angon-drakitra tany am-boalohany, ny mpampiasa dia afaka mijery ny angona tany am-boalohany amin'ny alàlan'ny angon-drakitra, manamora ny fikarohana ara-pitaovana, manome lalao feno ny fahombiazan'ny angona fandrefesana.

6. Miaraka amin'ny fanitarana metatra dia afaka mahazo avy hatrany REH, REL, RP0.2, FM, RT0.5, RT0.6, RT0.65, RT0.7, RM, E sy ny fitsapana hafa masontsivana, masontsivana azo apetraka malalaka, ary afaka manonta ny grafika.

7. Ca apetraka aorian'ny vokatra hanesorana ny fiasan'ny extensometer
Ny lozisialy DSC-10LG dia mamaritra ho azy fa ny deformation dia avadika ho fanangonana fifindra-monina rehefa tapitra ny vokatra santionany, ary mampahatsiahy ny mpampiasa ao amin'ny bara fampahalalam-baovao fa "tapitra ny fiovaovana, ary azo esorina ny extensometer".

8. Afiverenana utomatic: ny taratra mihetsika dia afaka miverina ho azy amin'ny toerana voalohany amin'ny fitsapana.
9. Automatic calibration: entana, elongation azo ho azy calibrated araka ny fanampiny sanda fenitra.
10. Range mode: tsy voasokajy ny faritra feno

(1) Module vondrona: isan-karazany ny kojakoja miovaova fifanakalozana, Modular fitaovana elektrika mba hanamora ny asa fanitarana sy ny fikojakojana;
(2) mandeha ho azy switching: ny fitsapana curve araka ny fitsapana hery sy ny deformation ny haben'ny automatique fiovana isan-karazany.


  • teo aloha:
  • Manaraka:

  • Soraty eto ny hafatrao ary alefaso aminay